
Verilog имеет три вида оператора присваивания: непрерывное, блокирующее и неблокирующее. Если с непрерывным, или постоянным присваиванием все более-менее понятно, то разница между блокирующим и неблокирующим присваиваниями не столь отчетлива и во многих руководствах она остается за кадром. К сожалению, нередко встречаются утверждения о том, что блокирующие присваивания «выполняются последовательно». Некоторые же идут настолько далеко, что дают советы использовать неблокирующие присваивания тем, кто хочет, чтобы их код исполнялся побыстрее. Цель этой статьи — развеять туман и помочь начинающим составить представление о том, что же именно представляют из себя различные виды присваиваний в синтезируемом подмножестве Verilog.

В данной статье показаны некоторые нововведения языка SystemVerilog в области организации данных по сравнению с Verilog-2001 для синтезируемого подмножества языка.
История SystemVerilog довольна длина и туманна. Начавшись после принятия стандарта Verilog-95, она вылилась в Verilog-2001. Однако язык не слишком подходил для верификации, инженеры использовании языки семейства «E» — VERA, Testbuilder. В современном виде SystemVerilog появился после 2005 года. Сейчас средства синтеза и моделирование такие как Quartus II, ISE, ModelSim/QuestaSim уверенно поддерживают SystemVerilog. Стандарт называется IEEE 1800-2012.

Frogger (яп. フロッガー Фроггeр:) — видеоигра жанра аркада-головоломка, разработанная компанией Konamiи выпущенная компаниями Sega и Gremlin Industries для аркадных автоматов в июне 1981 года в Японии и в октябре того же года в Европе[1][2]. Игра стала очень популярной и продолжает переиздаваться для многих игровых систем и компьютеров[3]. Frogger является римейком классической одноименной игры с аркадных автоматов. Мы с удовольствием взялись за портирование этой замечательной игры на нашу плату VE-EP4CE10E. То что из этого вышло, можно прочитать дальше:

На сайте habrhabr.ru мы натолкнулись на статью Minesweeper на FPGA, в которой рассказывается о создании игры сапер для FPGA фирмы Xilinx. Истоки Сапёра (Minesweeper) берут начало в 1960-х годов, когда его ранние версии устанавливались на компьютерах типа мейнфреймов. Игра Сапёр стала популярной благодаря включению его в качестве стандартного элемента в операционную систему Windows. Думаю найдется мало людей, которые не знакомы с этой игрой. Для тех кто по какой то причине прошел мимо этой игры, напомню ее суть. Плоское или объёмное игровое поле разделено на смежные ячейки (квадраты, шестиугольники, кубы и т. п.), некоторые из которых «заминированы»; количество «заминированных» ячеек известно. Целью игры является открытие всех ячеек, не содержащих мины.

Понятия фрактал и фрактальная геометрия, появившиеся в конце 70-х, с середины 80-х прочно вошли в обиход математиков и программистов. Слово фрактал образовано от латинского fractus и в переводе означает состоящий из фрагментов. Оно было предложено Бенуа Мандельбротом в 1975 году для обозначения нерегулярных, но самоподобных структур, которыми он занимался. Рождение фрактальной геометрии принято связывать с выходом в 1977 году книги Мандельброта `The Fractal Geometry of Nature'. В его работах использованы научные результаты других ученых, работавших в период 1875-1925 годов в той же области (Пуанкаре, Фату, Жюлиа, Кантор, Хаусдорф). Но только в наше время удалось объединить их работы в единую систему.

Те́трис (производное от «тетрамино» и «теннис»[2]) — компьютерная игра, первоначально изобретённая и разработанная советским программистом Алексеем Пажитновым. Игра была выпущена 6 июня 1984 года — в это время Пажитнов работал в Вычислительном центре Академии наук СССР.
«Тетрис» представляет собой головоломку, построенную на использовании геометрических фигур «тетрамино» — разновидности полимино, состоящих из четырёх квадратов. Полимино в том или ином виде использовались в настольных играх и головоломках задолго до создания «Тетриса». Идею «Тетриса» Пажитнову подсказала игра в пентамино[1]. Первоначальная версия игры была написана Пажитновым на языке Паскаль[3][4] для компьютера «Электроника-60». Коммерческая версия игры — первая из многих последующих — была выпущена американской компанией Spectrum HoloByte в 1987 году. В последующие годы «Тетрис» во множестве различных версий был портирован на великое множество устройств, включая все возможные компьютеры и игровые консоли, а также такие устройства, как графические калькуляторы, мобильные телефоны, медиа-плееры, карманные персональные компьютеры и — в качестве «пасхального яйца» — устройства, вовсе не предназначенные для воспроизведения медиа-контента, такие, как осциллограф и паяльник[5]. Ну а мы создадим реализацию для платы VE-EP4CE10E: